欢迎访问ic37.com |
会员登录 免费注册
发布采购

XC2V1000-4FG456C 参数 Datasheet PDF下载

XC2V1000-4FG456C图片预览
型号: XC2V1000-4FG456C
PDF下载: 下载PDF文件 查看货源
内容描述: 的Virtex -II FPGA平台:完整的数据表 [Virtex-II Platform FPGAs: Complete Data Sheet]
分类和应用: 可编程逻辑时钟
文件页数/大小: 311 页 / 1765 K
品牌: XILINX [ XILINX, INC ]
 浏览型号XC2V1000-4FG456C的Datasheet PDF文件第40页浏览型号XC2V1000-4FG456C的Datasheet PDF文件第41页浏览型号XC2V1000-4FG456C的Datasheet PDF文件第42页浏览型号XC2V1000-4FG456C的Datasheet PDF文件第43页浏览型号XC2V1000-4FG456C的Datasheet PDF文件第45页浏览型号XC2V1000-4FG456C的Datasheet PDF文件第46页浏览型号XC2V1000-4FG456C的Datasheet PDF文件第47页浏览型号XC2V1000-4FG456C的Datasheet PDF文件第48页  
R
Virtex™-II Platform FPGAs: Detailed Description  
capability only available in the Xilinx design flow is “Modular  
Design”, part of the Xilinx suite of team design tools, which  
enables autonomous design, implementation, and verifica-  
tion of design modules.  
Design Implementation  
The ISE Series development systems include Xilinx tim-  
ing-driven implementation tools, frequently called “place  
and route” or “fitting” software. This robust suite of tools  
enables the creation of an intuitive, flexible, tightly inte-  
grated design flow that efficiently bridges “logical” and  
“physical” design domains. This simplifies the task of defin-  
ing a design, including its behavior, timing requirements,  
and optional layout (or floorplanning), as well as simplifying  
the task of analyzing reports generated during the imple-  
mentation process.  
Incremental Synthesis  
Xilinx unique hierarchical floorplanning capabilities enable  
designers to create a programmable logic design by isolating  
design changes within one hierarchical “logic block”, and  
perform synthesis, verification and implementation pro-  
cesses on that specific logic block. By preserving the logic in  
unchanged portions of a design, Xilinx incremental design  
makes the high-density design process more efficient.  
The Virtex-II implementation process is comprised of Syn-  
thesis, translation, mapping, place and route, and configu-  
ration file generation. While the tools can be run individually,  
many designers choose to run the entire implementation  
process with the click of a button. To assist those who prefer  
to script their design flows, Xilinx provides Xflow, an auto-  
mated single command line process.  
Xilinx hierarchical floorplanning capabilities can be speci-  
fied using the high-level floorplanner or a preferred RTL  
floorplanner (see the Xilinx web site for a list of supported  
EDA partners). When used in conjunction with one of the  
EDA partners’ floorplanners, higher performance results  
can be achieved, as many synthesis tools use this more  
predictable detailed physical implementation information to  
establish more aggressive and accurate timing estimates  
when performing their logic optimizations.  
Design Verification  
In addition to conventional design verification using static  
timing analysis or simulation techniques, Xilinx offers pow-  
erful in-circuit debugging techniques using ChipScope ILA  
(Integrated Logic Analysis). The reconfigurable nature of  
Xilinx FPGAs means that designs can be verified in real  
time without the need for extensive sets of software simula-  
tion vectors.  
Modular Design  
Xilinx innovative modular design capabilities take the incre-  
mental design process one step further by enabling the  
designer to delegate responsibility for completing the  
design, synthesis, verification, and implementation of a hier-  
archical “logic block” to an arbitrary number of designers -  
assigning a specific region within the target FPGA for exclu-  
sive use by each of the team members.  
For simulation, the system extracts post-layout timing infor-  
mation from the design database, and back-annotates this  
information into the netlist for use by the simulator. The back  
annotation features a variety of patented Xilinx techniques,  
resulting in the industry’s most powerful simulation flows.  
Alternatively, timing-critical portions of a design can be ver-  
ified using the Xilinx static timing analyzer or a third party  
static timing analysis tool like Synopsys Prime Time™, by  
exporting timing data in the STAMP data format.  
This team design capability enables an autonomous  
approach to design modules, changing the hand-off point to  
the lead designer or integrator from “my module works in  
simulation” to “my module works in the FPGA”. This unique  
design methodology also leverages the Xilinx hierarchical  
floorplanning capabilities and enables the Xilinx (or EDA  
partner) floorplanner to manage the efficient implementa-  
tion of very high-density FPGAs.  
For in-circuit debugging, ChipScope ILA enables designers  
to analyze the real-time behavior of a device while operating  
at full system speeds. Logic analysis commands and cap-  
tured data are transferred between the ChipScope software  
and ILA cores within the Virtex-II FPGA, using industry  
standard JTAG protocols. These JTAG transactions are  
driven over an optional download cable (MultiLINX or  
JTAG), connecting the Virtex device in the target system to  
a PC or workstation.  
Configuration  
Virtex-II devices are configured by loading application spe-  
cific configuration data into the internal configuration mem-  
ory. Configuration is carried out using a subset of the device  
pins, some of which are dedicated, while others can be  
re-used as general purpose inputs and outputs once config-  
uration is complete.  
ChipScope ILA was designed to look and feel like a logic  
analyzer, making it easy to begin debugging a design imme-  
diately. Modifications to the desired logic analysis can be  
downloaded directly into the system in a matter of minutes.  
Depending on the system design, several configuration  
modes are supported, selectable via mode pins. The mode  
pins M2, M1 and M0 are dedicated pins. An additional pin,  
HSWAP_EN is used in conjunction with the mode pins to  
select whether user I/O pins have pull-ups during configura-  
tion. By default, HSWAP_EN is tied High (internal pull-up)  
which shuts off the pull-ups on the user I/O pins during con-  
figuration. When HSWAP_EN is tied Low, user I/Os have  
Other Unique Features of Virtex-II Design Flow  
Xilinx design flows feature a number of unique capabilities.  
Among these are efficient incremental HDL design flows; a  
robust capability that is enabled by Xilinx exclusive hierar-  
chical floorplanning capabilities. Another powerful design  
DS031-2 (v3.0) August 1, 2003  
Product Specification  
www.xilinx.com  
1-800-255-7778  
Module 2 of 4  
36  
 复制成功!