欢迎访问ic37.com |
会员登录 免费注册
发布采购

GS9020ACTVE3 参数 Datasheet PDF下载

GS9020ACTVE3图片预览
型号: GS9020ACTVE3
PDF下载: 下载PDF文件 查看货源
内容描述: GENLINX -TM II GS9020A串行数字视频输入处理器 [GENLINX -TM II GS9020A Serial Digital Video Input Processor]
分类和应用: 消费电路商用集成电路
文件页数/大小: 31 页 / 403 K
品牌: GENNUM [ GENNUM CORPORATION ]
 浏览型号GS9020ACTVE3的Datasheet PDF文件第12页浏览型号GS9020ACTVE3的Datasheet PDF文件第13页浏览型号GS9020ACTVE3的Datasheet PDF文件第14页浏览型号GS9020ACTVE3的Datasheet PDF文件第15页浏览型号GS9020ACTVE3的Datasheet PDF文件第17页浏览型号GS9020ACTVE3的Datasheet PDF文件第18页浏览型号GS9020ACTVE3的Datasheet PDF文件第19页浏览型号GS9020ACTVE3的Datasheet PDF文件第20页  
CRC_MODE is applicable when the processing circuitry  
does not corrupt the EDH packet, as illustrated in Figure  
13a. In this configuration, the input EDH chip operates in  
normal mode while the output EDH chip is in CRC_MODE.  
In this scenario, the input IC receives the EDH packet and  
does normal EDH processing.  
used to route the EDH flags from an input EDH chip around  
the processing core and write them to an output EDH chip.  
In this configuration, the input IC is in FLAG_MAP mode. It  
receives the EDH packet, does normal EDH processing and  
transfers the new EDH flags to the output IC. The output IC,  
which is not in FLAG_MAP mode but is in write mode  
(FLAG_MAP and F_R/W stay LOW) receives these flags as  
they are written to it by the EDH chip. The output EDH chip  
then updates the EDH packet with the new CRC values and  
inserts the preserved EDH flags that have been transferred  
from the input IC. A diagram of this can be found in Figure  
13b.  
The output IC updates the EDH packet with new CRC  
values but passes the EDH flags through unaltered. Be-  
cause of this, erroneous EDH flag handling by the second  
EDH chip is not performed.  
3.11.2 FLAG_MAP Mode  
Because the flags are output as soon as they are decoded,  
the maximum processing latency supported between the  
two EDH chips is the number of clock cycles in the shortest  
field of the standard minus 15 clock cycles.  
In FLAG_MAP mode, the FLAG PORT is used to read EDH  
flags from the GS9020A and write them to another EDH  
chip. To enable FLAG_MAP mode, the FLAG_MAP mode  
pin and the F_R/W pin must be asserted HIGH (set F_R/W  
at least one cycle ahead of FLAG_MAP). After a delay of  
For example, D1 has one field of 262 x 1716 = 449592  
clock cycles, and one field of 263 x 1716 = 451308 clock  
cycles. Thus the maximum latency for D1 is 449592 - 15 =  
449577 clock cycles.  
tFEN, the FL[4:0] and S[1:0] pins of the FLAG PORT become  
outputs and can be connected to the chip which you wish  
the GS9020A to write the FLAG data to. In this mode the  
GS9020A automatically increments the value of S[1:0] and  
subsequently displays the appropriate flags on the FL[4:0]  
port, synchronous to the rising edge of PCLKOUT. This is  
illustrated in Figure 12d.  
Any additional latency requires that the flags be delayed  
before they can be piped to the output chip. Since writing to  
the flag port takes precedence over the HOSTIF writing, if  
any of the flags need to be forced at the output EDH chip,  
external logic in the routing path must be added.  
Alternately, the HOSTIF of the EDH chip can be used to  
perform any additional flag masking.  
Figure 12d displays three properties of the FLAG PORT in  
FLAG_MAP mode.  
First, each data is present on the FLAG PORT for two clock  
cycles to eliminate any setup time violations that might  
occur due to clock data skew between chips placed far  
apart. However, the designer must still ensure that the hold  
time is satisfied. Second, the S[1:0] pins never cycle to the  
value of "11" in FLAG_MAP mode since the values  
contained in the FL[4:0] register when S[1:0] ="11" are not  
considered EDH flags. Also, the chip cycles S[1:0] in the  
sequence "01", "00", "10" since this is the order in which the  
flags are stored and subsequently decoded from the EDH  
packet. Finally the S[1:0] pins only change value after  
receipt of an EDH packet and are thus static between  
packets. During this inter-packet time, the S[1:0] pins  
display a value of "01" and the FL[4:0] pins display the ANC  
EDH flags from the preceding EDH packet.  
3.12 BYPASS_EDH Processing  
PIN  
LOGIC OPR  
HOST BIT  
BYPASS_EDH  
OR  
BYPASS_EDH  
EDH processing can be bypassed by asserting the  
BYPASS_EDH pin or HOSTIF write table bit HIGH. When  
bypassed, EDH packets pass through the chip unaltered.  
Overwriting information in the EDH packet via the HOSTIF  
write table or the FLAG PORT has no effect. Data  
processing in the chip (as described below) can still occur  
even if BYPASS_EDH is asserted. In this case, valid  
incoming error flags can be read via the I²C or parallel port  
interface. However, reading outgoing error flags via the host  
port or the flag port returns values of 0.  
For reliable data output on the FLAG PORT, switching the  
FLAG_MAP pin when an EDH packet is exiting the device is  
not advised. Also, if the EDH core is bypassed by asserting  
the BYPASS_EDH pin HIGH, the flag port will always display  
zeros. This is because the incoming flags (which will be  
decoded and written to the HOSTIF table) will not be  
updated to reflect the condition of the input data, and as a  
result no outgoing flags will be generated (the FLAG PORT  
only displays the outgoing EDH flags).  
FLAG_MAP mode can be used to write EDH flags to any  
chip, the most common use being applicable when the  
processing circuitry following the EDH chip corrupts the  
EDH packet. In this case, the FLAG_MAP mode can be  
16 of 31  
19922 - 3  
 复制成功!