欢迎访问ic37.com |
会员登录 免费注册
发布采购

GS1561-CFE3 参数 Datasheet PDF下载

GS1561-CFE3图片预览
型号: GS1561-CFE3
PDF下载: 下载PDF文件 查看货源
内容描述: GS1560A / GS1561 HD - LINX -R II双率解串器 [GS1560A/GS1561 HD-LINX-R II Dual-Rate Deserializer]
分类和应用: 存储静态存储器
文件页数/大小: 80 页 / 1307 K
品牌: GENNUM [ GENNUM CORPORATION ]
 浏览型号GS1561-CFE3的Datasheet PDF文件第60页浏览型号GS1561-CFE3的Datasheet PDF文件第61页浏览型号GS1561-CFE3的Datasheet PDF文件第62页浏览型号GS1561-CFE3的Datasheet PDF文件第63页浏览型号GS1561-CFE3的Datasheet PDF文件第65页浏览型号GS1561-CFE3的Datasheet PDF文件第66页浏览型号GS1561-CFE3的Datasheet PDF文件第67页浏览型号GS1561-CFE3的Datasheet PDF文件第68页  
GS1560A/GS1561 Data Sheet  
The EDH_FLAG register may be read by the host interface at any time during the  
received frame except on the lines defined in SMPTE RP165 where these flags are  
updated.  
NOTE 1: By programming the ANC_TYPE1 register (005h) with the DID word for  
EDH ancillary packets, the application layer may detect a high-to-low transition on  
either the YANC or CANC output pin of the GS1560A/GS1561 to determine (a)  
when EDH packets have been received by the device, and (b) when the  
EDH_FLAG register can be read by the host interface. See Ancillary Data  
Detection and Indication on page 48 for more information on ancillary data  
detection and indication.  
NOTE 2: The bits of the EDH_FLAG register are sticky and will not be cleared by  
a read operation. If the GS1560A/GS1561 is decoding a source containing EDH  
packets, where EDH flags may be set, and the source is replaced by one without  
EDH packets, the EDH_FLAG register will not be cleared.  
NOTE 3: The GS1560A/GS1561 will detect EDH flags, but will not update the flags  
if an EDH CRC error is detected. Gennum's GS1532 Multi-Rate Serializer allows  
the host to individually set EDH flags.  
Table 3-15: Host Interface Description for EDH Flag Register  
Register  
Name  
Bit  
Name  
Description  
R/W  
Default  
EDH_FLAG  
Address: 003h  
15  
14  
13  
12  
11  
10  
9
Not Used.  
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
ANC-UES out  
ANC-IDA out  
ANC-IDH out  
ANC-EDA out  
ANC-EDH out  
FF-UES out  
FF-IDA out  
FF-IDH out  
FF-EDA out  
FF-EDH out  
AP-UES out  
AP-IDA out  
AP-IDH out  
AP-EDA out  
AP-EDH out  
Ancillary Unknown Error Status Flag.  
R
R
R
R
R
R
R
R
R
R
R
R
R
R
R
Ancillary Internal device error Detected Already Flag.  
Ancillary Internal device error Detected Here Flag.  
Ancillary Error Detected Already Flag.  
Ancillary Error Detected Here Flag.  
Full Field Unknown Error Status Flag.  
8
Full Field Internal device error Detected Already Flag.  
Full Field Internal device error Detected Here Flag.  
Full Field Error Detected Already Flag.  
7
6
5
Full Field Error Detected Here Flag.  
4
Active Picture Unknown Error Status Flag.  
Active Picture Internal device error Detected Already Flag.  
Active Picture Internal device error Detected Here Flag.  
Active Picture Error Detected Already Flag.  
Active Picture Error Detected Here Flag.  
3
2
1
0
27360 - 8 September 2005  
64 of 80  
 复制成功!