欢迎访问ic37.com |
会员登录 免费注册
发布采购

EPM240T100C5 参数 Datasheet PDF下载

EPM240T100C5图片预览
型号: EPM240T100C5
PDF下载: 下载PDF文件 查看货源
内容描述: [最大II器件]
分类和应用: 可编程逻辑器件输入元件PC
文件页数/大小: 295 页 / 3815 K
品牌: ALTERA [ ALTERA CORPORATION ]
 浏览型号EPM240T100C5的Datasheet PDF文件第166页浏览型号EPM240T100C5的Datasheet PDF文件第167页浏览型号EPM240T100C5的Datasheet PDF文件第168页浏览型号EPM240T100C5的Datasheet PDF文件第169页浏览型号EPM240T100C5的Datasheet PDF文件第171页浏览型号EPM240T100C5的Datasheet PDF文件第172页浏览型号EPM240T100C5的Datasheet PDF文件第173页浏览型号EPM240T100C5的Datasheet PDF文件第174页  
9–38  
Chapter 9: Using User Flash Memory in MAX II Devices  
Software Support for UFM Block  
Figure 9–40. Parallel Interface Timing Waveform  
t
COMMAND  
Command  
t
HNBUSY  
nBusy  
t
HBUS  
Data or Address Bus  
Table 9–16. Parallel Interface Timing Parameters  
Symbol  
tCOMMAND  
Description  
Minimum (ns)  
Maximum (ns)  
The time required for the command signal  
(nREAD/nWRITE/nERASE) to be asserted and held low to initiate  
600  
3,000  
a read/write/erase sequence  
tHNBUSY  
tHBUS  
Maximum delay between command signal’s falling edge to the  
nBUSYsignal’s falling edge  
300  
The time that data and/or address bus must be present at the data  
input and/or address register port after the command signal has  
been asserted low  
600  
Instantiating Parallel Interface Using Quartus II altufm Megafunction  
Figure 9–41 shows the altufm megafunction symbol for a parallel interface  
instantiation in the Quartus II software.  
Figure 9–41. altufm Megafunction Symbol for Parallel Interface Instantiation  
Figure 9–42 shows page 3 of the altufm MegaWizard Plug-In Manager, selecting the  
Parallel Interface as the interface. On this page, you can choose whether to implement  
the Read/Write mode or Read Only mode for the UFM. You also have an option to  
choose the width for address bus (up to 9 bits) and for the data bus (up to 16 bits). You  
can specify the initial content of the UFM block on page 4 of the altufm MegaWizard  
Plug-In Manager as discussed in “Creating Memory Content File” on page 9–40.  
MAX II Device Handbook  
© October 2008 Altera Corporation  
 复制成功!