欢迎访问ic37.com |
会员登录 免费注册
发布采购

EPM240T100C5 参数 Datasheet PDF下载

EPM240T100C5图片预览
型号: EPM240T100C5
PDF下载: 下载PDF文件 查看货源
内容描述: [最大II器件]
分类和应用: 可编程逻辑器件输入元件PC
文件页数/大小: 295 页 / 3815 K
品牌: ALTERA [ ALTERA CORPORATION ]
 浏览型号EPM240T100C5的Datasheet PDF文件第136页浏览型号EPM240T100C5的Datasheet PDF文件第137页浏览型号EPM240T100C5的Datasheet PDF文件第138页浏览型号EPM240T100C5的Datasheet PDF文件第139页浏览型号EPM240T100C5的Datasheet PDF文件第141页浏览型号EPM240T100C5的Datasheet PDF文件第142页浏览型号EPM240T100C5的Datasheet PDF文件第143页浏览型号EPM240T100C5的Datasheet PDF文件第144页  
9–8  
Chapter 9: Using User Flash Memory in MAX II Devices  
UFM Functional Description  
Figure 9–5. Selecting the altufm_osc Megafunction in the MegaWizard Plug-In Manager  
Figure 9–6 shows page 3 of the IO/MAX II oscillator megafunction. You have an  
option to choose to simulate the OSC output port at its maximum or minimum  
frequency during the design simulation. The frequency chosen is only used as a  
timing parameter simulation and does not affect the real MAX II device OSC output  
frequency.  
Figure 9–6. Page 3 of the OSC Megafunction MegaWizard Plug-In Manager  
MAX II Device Handbook  
© October 2008 Altera Corporation  
 复制成功!