欢迎访问ic37.com |
会员登录 免费注册
发布采购

XC5202-5VQ100I 参数 Datasheet PDF下载

XC5202-5VQ100I图片预览
型号: XC5202-5VQ100I
PDF下载: 下载PDF文件 查看货源
内容描述: 现场可编程门阵列(FPGA)的\n [Field Programmable Gate Array (FPGA) ]
分类和应用: 现场可编程门阵列可编程逻辑时钟
文件页数/大小: 73 页 / 583 K
品牌: ETC [ ETC ]
 浏览型号XC5202-5VQ100I的Datasheet PDF文件第14页浏览型号XC5202-5VQ100I的Datasheet PDF文件第15页浏览型号XC5202-5VQ100I的Datasheet PDF文件第16页浏览型号XC5202-5VQ100I的Datasheet PDF文件第17页浏览型号XC5202-5VQ100I的Datasheet PDF文件第19页浏览型号XC5202-5VQ100I的Datasheet PDF文件第20页浏览型号XC5202-5VQ100I的Datasheet PDF文件第21页浏览型号XC5202-5VQ100I的Datasheet PDF文件第22页  
R
XC5200 Series Field Programmable Gate Arrays  
XC5200-Series devices can also be configured through the  
boundary scan logic. See XAPP 017 for more information.  
Bit Sequence  
The bit sequence within each IOB is: 3-State, Out, In. The  
data-register cells for the TAP pins TMS, TCK, and TDI  
have an OR-gate that permanently disables the output  
buffer if boundary-scan operation is selected. Conse-  
quently, it is impossible for the outputs in IOBs used by TAP  
inputs to conflict with TAP operation. TAP data is taken  
directly from the pin, and cannot be overwritten by injected  
boundary-scan data.  
Data Registers  
The primary data register is the boundary scan register.  
For each IOB pin in the FPGA, bonded or not, it includes  
three bits for In, Out and 3-State Control. Non-IOB pins  
have appropriate partial bit population for In or Out only.  
PROGRAM, CCLK and DONE are not included in the  
boundary scan register. Each EXTEST CAPTURE-DR  
state captures all In, Out, and 3-State pins.  
The primary global clock inputs (PGCK1-PGCK4) are  
taken directly from the pins, and cannot be overwritten with  
boundary-scan data. However, if necessary, it is possible to  
drive the clock input from boundary scan. The external  
clock source is 3-stated, and the clock net is driven with  
boundary scan data through the output driver in the  
clock-pad IOB. If the clock-pad IOBs are used for non-clock  
signals, the data may be overwritten normally.  
The data register also includes the following non-pin bits:  
TDO.T, and TDO.O, which are always bits 0 and 1 of the  
data register, respectively, and BSCANT.UPD, which is  
always the last bit of the data register. These three bound-  
ary scan bits are special-purpose Xilinx test signals.  
The other standard data register is the single flip-flop  
BYPASS register. It synchronizes data being passed  
through the FPGA to the next downstream boundary scan  
device.  
Pull-up and pull-down resistors remain active during  
boundary scan. Before and during configuration, all pins  
are pulled up. After configuration, the choice of internal  
pull-up or pull-down resistor must be taken into account  
when designing test vectors to detect open-circuit PC  
traces.  
The FPGA provides two additional data registers that can  
be specified using the BSCAN macro. The FPGA provides  
two user pins (BSCAN.SEL1 and BSCAN.SEL2) which are  
the decodes of two user instructions, USER1 and USER2.  
For these instructions, two corresponding pins  
(BSCAN.TDO1 and BSCAN.TDO2) allow user scan data to  
From a cavity-up view of the chip (as shown in XDE or  
Epic), starting in the upper right chip corner, the boundary  
scan data-register bits are ordered as shown in Table 8.  
The device-specific pinout tables for the XC5200 Series  
include the boundary scan locations for each IOB pin.  
be shifted out on TDO.  
The data register clock  
(BSCAN.DRCK) is available for control of test logic which  
the user may wish to implement with CLBs. The NAND of  
TCK and RUN-TEST-IDLE is also provided (BSCAN.IDLE).  
Table 8: Boundary Scan Bit Sequence  
Bit Position  
I/O Pad Location  
Top-edge I/O pads (right to left)  
...  
Instruction Set  
Bit 0 (TDO)  
The XC5200-Series boundary scan instruction set also  
includes instructions to configure the device and read back  
the configuration data. The instruction set is coded as  
shown in Table 7.  
Bit 1  
...  
Left-edge I/O pads (top to bottom)  
Bottom-edge I/O pads (left to right)  
Right-edge I/O pads (bottom to top)  
BSCANT.UPD  
...  
...  
Table 7: Boundary Scan Instructions  
Bit N (TDI)  
Instruction I2  
I1 I0  
Test  
Selected  
I/O Data  
Source  
TDO Source  
BSDL (Boundary Scan Description Language) files for  
XC5200-Series devices are available on the Xilinx web site  
in the File Download area.  
0
0
0
0
0
1
EXTEST  
DR  
DR  
DR  
SAMPLE/PR  
ELOAD  
Pin/Logic  
Including Boundary Scan  
0
0
1
1
1
0
0
1
0
USER 1  
BSCAN.  
TDO1  
User Logic  
User Logic  
Pin/Logic  
If boundary scan is only to be used during configuration, no  
special elements need be included in the schematic or HDL  
code. In this case, the special boundary scan pins TDI,  
TMS, TCK and TDO can be used for user functions after  
configuration.  
USER 2  
BSCAN.  
TDO2  
READBACK Readback  
Data  
To indicate that boundary scan remain enabled after config-  
uration, include the BSCAN library symbol and connect pad  
symbols to the TDI, TMS, TCK and TDO pins, as shown in  
Figure 20.  
1
1
1
0
1
1
1
0
1
CONFIGURE  
Reserved  
DOUT  
Disabled  
BYPASS  
Bypass  
Register  
7-100  
November 5, 1998 (Version 5.2)  
 复制成功!