欢迎访问ic37.com |
会员登录 免费注册
发布采购

5962-9473002MXC 参数 Datasheet PDF下载

5962-9473002MXC图片预览
型号: 5962-9473002MXC
PDF下载: 下载PDF文件 查看货源
内容描述: [Field Programmable Gate Array, 576 CLBs, 10000 Gates, 90.9MHz, 1368-Cell, CMOS, CPGA223, CERAMIC, PGA-223]
分类和应用: 时钟可编程逻辑
文件页数/大小: 68 页 / 685 K
品牌: XILINX [ XILINX, INC ]
 浏览型号5962-9473002MXC的Datasheet PDF文件第15页浏览型号5962-9473002MXC的Datasheet PDF文件第16页浏览型号5962-9473002MXC的Datasheet PDF文件第17页浏览型号5962-9473002MXC的Datasheet PDF文件第18页浏览型号5962-9473002MXC的Datasheet PDF文件第20页浏览型号5962-9473002MXC的Datasheet PDF文件第21页浏览型号5962-9473002MXC的Datasheet PDF文件第22页浏览型号5962-9473002MXC的Datasheet PDF文件第23页  
R
XC4000E and XC4000X Series Field Programmable Gate Arrays  
Additional Input Latch for Fast Capture (XC4000X only)  
the desired delay based on the discussion in the previous  
subsection.  
The XC4000X IOB has an additional optional latch on the  
input. This latch, as shown in Figure 16, is clocked by the  
output clock — the clock used for the output flip-flop —  
rather than the input clock. Therefore, two different clocks  
can be used to clock the two input storage elements. This  
additional latch allows the very fast capture of input data,  
which is then synchronized to the internal clock by the IOB  
flip-flop or latch.  
IOB Output Signals  
Output signals can be optionally inverted within the IOB,  
and can pass directly to the pad or be stored in an  
edge-triggered flip-flop. The functionality of this flip-flop is  
shown in Table 11.  
An active-High 3-state signal can be used to place the out-  
put buffer in a high-impedance state, implementing 3-state  
outputs or bidirectional I/O. Under configuration control, the  
output (OUT) and output 3-state (T) signals can be  
inverted. The polarity of these signals is independently con-  
figured for each IOB.  
To use this Fast Capture technique, drive the output clock  
pin (the Fast Capture latching signal) from the output of one  
of the Global Early buffers supplied in the XC4000X. The  
second storage element should be clocked by a Global  
Low-Skew buffer, to synchronize the incoming data to the  
internal logic. (See Figure 17.) These special buffers are  
described in “Global Nets and Buffers (XC4000X only)” on  
page 37.  
The 4-mA maximum output current specification of many  
FPGAs often forces the user to add external buffers, which  
are especially cumbersome on bidirectional I/O lines. The  
XC4000E and XC4000EX/XL devices solve many of these  
problems by providing a guaranteed output sink current of  
12 mA. Two adjacent outputs can be interconnected exter-  
nally to sink up to 24 mA. The XC4000E and XC4000EX/XL  
FPGAs can thus directly drive buses on a printed circuit  
board.  
The Fast Capture latch (FCL) is designed primarily for use  
with a Global Early buffer. For Fast Capture, a single clock  
signal is routed through both a Global Early buffer and a  
Global Low-Skew buffer. (The two buffers share an input  
pad.) The Fast Capture latch is clocked by the Global Early  
buffer, and the standard IOB flip-flop or latch is clocked by  
the Global Low-Skew buffer. This mode is the safest way to  
use the Fast Capture latch, because the clock buffers on  
both storage elements are driven by the same pad. There is  
no external skew between clock pads to create potential  
problems.  
6
By default, the output pull-up structure is configured as a  
TTL-like totem-pole. The High driver is an n-channel pull-up  
transistor, pulling to a voltage one transistor threshold  
below Vcc. Alternatively, the outputs can be globally config-  
ured as CMOS drivers, with p-channel pull-up transistors  
pulling to Vcc. This option, applied using the bitstream gen-  
eration software, applies to all outputs on the device. It is  
not individually programmable. In the XC4000XL, all out-  
puts are pulled to the positive supply rail.  
To place the Fast Capture latch in a design, use one of the  
special library symbols, ILFFX or ILFLX. ILFFX is a trans-  
parent-Low Fast Capture latch followed by an active-High  
input flip-flop. ILFLX is a transparent-Low Fast Capture  
latch followed by a transparent-High input latch. Any of the  
clock inputs can be inverted before driving the library ele-  
ment, and the inverter is absorbed into the IOB. If a single  
BUFG output is used to drive both clock inputs, the soft-  
ware automatically runs the clock through both a Global  
Low-Skew buffer and a Global Early buffer, and clocks the  
Fast Capture latch appropriately.  
Table 11: Output Flip-Flop Functionality (active rising  
edge is shown)  
Clock  
Mode  
Clock  
Enable  
T
D
Q
Power-Up  
or GSR  
X
X
0*  
X
SR  
Figure 16 on page 21 also shows a two-tap delay on the  
input. By default, if the Fast Capture latch is used, the Xilinx  
software assumes a Global Early buffer is driving the clock,  
and selects MEDDELAY to ensure a zero hold time. Select  
X
__/  
X
0
1*  
X
0*  
0*  
1
X
D
X
X
Q
D
Z
Flip-Flop  
0
X
0*  
Q
Legend:  
ILFFX  
X
__/  
SR  
0*  
Don’t care  
Rising edge  
to internal  
logic  
IPAD  
D
Q
Set or Reset value. Reset is default.  
Input is Low or unconnected (default value)  
Input is High or unconnected (default value)  
3-state  
GF  
1*  
Z
BUFGE  
CE  
C
IPAD  
BUFGLS  
X9013  
Figure 17: Examples Using XC4000X FCL  
May 14, 1999 (Version 1.6)  
6-23  
 
 
 
 复制成功!