欢迎访问ic37.com |
会员登录 免费注册
发布采购

LFEC33E-3FN672C 参数 Datasheet PDF下载

LFEC33E-3FN672C图片预览
型号: LFEC33E-3FN672C
PDF下载: 下载PDF文件 查看货源
内容描述: 的LatticeECP / EC系列数据手册 [LatticeECP/EC Family Data Sheet]
分类和应用:
文件页数/大小: 163 页 / 1036 K
品牌: LATTICE [ LATTICE SEMICONDUCTOR ]
 浏览型号LFEC33E-3FN672C的Datasheet PDF文件第10页浏览型号LFEC33E-3FN672C的Datasheet PDF文件第11页浏览型号LFEC33E-3FN672C的Datasheet PDF文件第12页浏览型号LFEC33E-3FN672C的Datasheet PDF文件第13页浏览型号LFEC33E-3FN672C的Datasheet PDF文件第15页浏览型号LFEC33E-3FN672C的Datasheet PDF文件第16页浏览型号LFEC33E-3FN672C的Datasheet PDF文件第17页浏览型号LFEC33E-3FN672C的Datasheet PDF文件第18页  
Architecture  
LatticeECP/EC Family Data Sheet  
Lattice Semiconductor  
Table 2-5. PLL Signal Descriptions  
Signal  
I/O  
Description  
CLKI  
I
I
Clock input from external pin or routing  
PLL feedback input from CLKOP (PLL internal), from clock net (CLKOP) or from a user clock  
(PIN or logic)  
CLKFB  
RST  
I
“1” to reset PLL  
CLKOS  
O
O
O
O
I
PLL output clock to clock tree (phase shifted/duty cycle changed)  
PLL output clock to clock tree (No phase shift)  
PLL output to clock tree through secondary clock divider  
“1” indicates PLL LOCK to CLKI  
CLKOP  
CLKOK  
LOCK  
DDAMODE  
DDAIZR  
Dynamic Delay Enable. “1”: Pin control (dynamic), “0”: Fuse Control (static)  
Dynamic Delay Zero. “1”: delay = 0, “0”: delay = on  
Dynamic Delay Lag/Lead. “1”: Lead, “0”: Lag  
Dynamic Delay Input  
I
DDAILAG  
DDAIDEL[2:0]  
DDAOZR  
DDAOLAG  
DDAODEL[2:0]  
I
I
O
O
O
Dynamic Delay Zero Output  
Dynamic Delay Lag/Lead Output  
Dynamic Delay Output  
For more information about the PLL, please see the list of technical documentation at the end of this data sheet.  
Dynamic Clock Select (DCS)  
The DCS is a global clock buffer with smart multiplexer functions. It takes two independent input clock sources and  
outputs a clock signal without any glitches or runt pulses. This is achieved regardless of where the select signal is  
toggled. There are eight DCS blocks per device, located in pairs at the center of each side. Figure 2-13 illustrates  
the DCS Block Macro.  
Figure 2-13. DCS Block Primitive  
CLK0  
DCS  
CLK1  
SEL  
DCSOUT  
Figure 2-14 shows timing waveforms of the default DCS operating mode. The DCS block can be programmed to  
other modes. For more information about the DCS, please see the list of technical documentation at the end of this  
data sheet.  
2-11  
 复制成功!