欢迎访问ic37.com |
会员登录 免费注册
发布采购

GS9021-CTU 参数 Datasheet PDF下载

GS9021-CTU图片预览
型号: GS9021-CTU
PDF下载: 下载PDF文件 查看货源
内容描述: EDH协处理器 [EDH Coprocessor]
分类和应用:
文件页数/大小: 26 页 / 196 K
品牌: GENNUM [ GENNUM CORPORATION ]
 浏览型号GS9021-CTU的Datasheet PDF文件第14页浏览型号GS9021-CTU的Datasheet PDF文件第15页浏览型号GS9021-CTU的Datasheet PDF文件第16页浏览型号GS9021-CTU的Datasheet PDF文件第17页浏览型号GS9021-CTU的Datasheet PDF文件第19页浏览型号GS9021-CTU的Datasheet PDF文件第20页浏览型号GS9021-CTU的Datasheet PDF文件第21页浏览型号GS9021-CTU的Datasheet PDF文件第22页  
TABLE 2: GS9021 Case Table  
MODE  
CASE  
NUMBER  
EDH  
PACKET  
R/T  
CRC_MODE  
RESULTS  
COMMENTS / SUGGESTIONS  
TX MODE  
1
2
0
0
0
0
NO  
No EDH comparison is performed.  
A new EDH packet is inserted into  
the data stream with all the EDH  
flags cleared unless overwritten by  
the dedicated flag port or the host  
interface. The EDH packet will  
include CRC values calculated  
from the data stream.  
Use this MODE if you wish to  
insert EDH if it is not present. If  
EDH is present, clear all flags and  
update CRC.  
YES  
CRC MODE  
3
4
X
X
1
1
NO  
Same results as Case #1  
Use this MODE on the output EDH  
chip when mapping flags from an  
input EDH chip around a  
YES  
No EDH comparison is performed  
(i.e. no attempt is made to detect  
errors). The CRC is updated and  
all flags preserved or unaltered  
unless overwritten by the  
processing core (which would  
alter the picture data) to an output  
EDH chip so that EDH errors are  
not generated. You can also use  
this mode when you simply want  
to update the CRC values after  
processing, if the processing  
does not corrupt the EDH packet.  
In both cases, the EDH flags are  
preserved.  
dedicated flag port or host  
interface.  
RX MODE  
5
1
0
NO  
New EDH packet inserted into  
data stream with all error flags  
cleared and all UES flags set high  
unless overwritten by the  
dedicated flag port or the host  
interface.  
Use this MODE when you wish to  
detect errors in the data stream,  
or on an input EDH chip when  
mapping flags around a  
processing core (that would alter  
the picture data) to an output EDH  
chip so that EDH errors are not  
generated due to the data  
processing.  
6
1
0
YES  
EDH comparison performed and  
error flags set and mapped  
appropriately unless overwritten  
by the dedicated flag port or the  
host interface. The CRC is  
updated and the EDH packet is re-  
inserted.  
Use this MODE when you want to  
insert EDH if it is not present or  
update CRC values and preserve  
the flags in an existing EDH  
packet.  
NOTE  
1. Xin the table above means dont care(but not left floating).  
DIN [9:0]  
1
2
3
4
5
6
7
8
1
2
3
t
DOUT [9:0]  
PCLK IN  
LAT  
Fig. 3 Data Latency through the GS9021  
18  
521 - 65 - 05  
 复制成功!