欢迎访问ic37.com |
会员登录 免费注册
发布采购

DIFFCLK_4P 参数 Datasheet PDF下载

DIFFCLK_4P图片预览
型号: DIFFCLK_4P
PDF下载: 下载PDF文件 查看货源
内容描述: 的Cyclone III器件手册 [Cyclone III Device Handbook]
分类和应用:
文件页数/大小: 274 页 / 7302 K
品牌: ALTERA [ ALTERA CORPORATION ]
 浏览型号DIFFCLK_4P的Datasheet PDF文件第73页浏览型号DIFFCLK_4P的Datasheet PDF文件第74页浏览型号DIFFCLK_4P的Datasheet PDF文件第75页浏览型号DIFFCLK_4P的Datasheet PDF文件第76页浏览型号DIFFCLK_4P的Datasheet PDF文件第78页浏览型号DIFFCLK_4P的Datasheet PDF文件第79页浏览型号DIFFCLK_4P的Datasheet PDF文件第80页浏览型号DIFFCLK_4P的Datasheet PDF文件第81页  
Chapter 5: Clock Networks and PLLs in the Cyclone III Device Family  
5–17  
Hardware Features  
PLL Control Signals  
You can use the following three signals to observe and control the PLL operation and  
resynchronization.  
pfdena  
Use the pfdenasignal to maintain the last locked frequency so that your system has  
time to store its current settings before shutting down. The pfdenasignal controls the  
PFD output with a programmable gate. If you disable the PFD, the VCO operates at  
its last set value of control voltage and frequency with some long-term drift to a lower  
frequency.  
areset  
The aresetsignal is the reset or resynchronization input for each PLL. The device  
input pins or internal logic can drive these input signals. When driven high, the PLL  
counters reset, clearing the PLL output and placing the PLL out of lock. The VCO is  
then set back to its nominal setting. When driven low again, the PLL resynchronizes  
to its input as it re-locks.  
You must include the aresetsignal in your designs if one of the following conditions  
is true:  
PLL reconfiguration or clock switchover enabled in your design  
Phase relationships between the PLL input clock and output clocks must be  
maintained after a loss-of-lock condition  
1
1
If the input clock to the PLL is toggling or unstable upon power up, assert the areset  
signal after the input clock is stable and within specifications.  
locked  
The lockedoutput indicates that the PLL has locked onto the reference clock and the  
PLL clock outputs are operating at the desired phase and frequency set in the  
Quartus II MegaWizardPlug-in Manager.  
Altera recommends that you use the aresetand lockedsignals in your designs to  
control and observe the status of your PLL.  
This implementation is illustrated in Figure 5–13.  
Figure 5–13. Locked Signal Implementation  
locked  
V
CC  
OFF  
D
Q
PLL  
locked  
areset  
July 2012 Altera Corporation  
Cyclone III Device Handbook  
Volume 1