欢迎访问ic37.com |
会员登录 免费注册
发布采购

MG63P 参数 Datasheet PDF下载

MG63P图片预览
型号: MG63P
PDF下载: 下载PDF文件 查看货源
内容描述: 0.25レ米嵌入式DRAM /客户结构阵列 [0.25レm Embedded DRAM/ Customer Structured Arrays]
分类和应用: 动态存储器
文件页数/大小: 22 页 / 253 K
品牌: OKI [ OKI ELECTRONIC COMPONETS ]
 浏览型号MG63P的Datasheet PDF文件第1页浏览型号MG63P的Datasheet PDF文件第3页浏览型号MG63P的Datasheet PDF文件第4页浏览型号MG63P的Datasheet PDF文件第5页浏览型号MG63P的Datasheet PDF文件第6页浏览型号MG63P的Datasheet PDF文件第7页浏览型号MG63P的Datasheet PDF文件第8页浏览型号MG63P的Datasheet PDF文件第9页  
MG63P/64P/65P  
0.25µm Embedded DRAM/Customer Structured Arrays  
DESCRIPTION  
Oki’s 0.25 µm MG63P/64P/65P Application-Specific Integrated Circuit (ASIC) provides the ability to  
embed large blocks of Synchronous DRAM (SDRAM) into an embedded array architecture called the  
Customer Structured Array (CSA). Utilizing Oki’s leadership in DRAM technologies and wide experi-  
ence of embedding SDRAM in logic products, Oki is able to integrate SDRAM and ASIC technology. The  
merged DRAM/ASIC process efficiently implements the Oki stacked capacitor memory cell. The  
MG63P/64P/65P CSA series uses three, four, and five metal process layers, respectively, on 0.25 µm  
drawn (0.18 µm L-effective) CMOS technology. The semiconductor process is adapted from Oki’s pro-  
duction-proven 64- Mbit DRAM manufacturing process.  
The 0.25 µm family provides significant performance, density, and power improvement over previous  
0.30 µm and 0.35 µm technologies. An innovative 4-transistor cell structure provides 30 to 50% less  
power and 30 to 50% more usable gates than traditional cell designs. The Oki 0.25 µm family operates  
using 2.5-V VDD core with optimized 3-V I/O buffers. The 3-, 4-, and 5-layer metal MG63P/64P/65P  
CSA series contains 21 devices each, offering up to 868 I/O pads and over 5.4M raw gates. These CSA  
array sizes are designed to fit the most popular quad flat pack (QFP), low profile QFPs (LQFPs), thin  
QFPs (TQFPs), and plastic ball grid array (PBGA) packages. Oki uses the Artisan Components memory  
compiler which provides high performance, embedded synchronous single- and dual-port SRAM mac-  
rocells for CSA designs. As such, the MG63P/64P/65P series is suited to memory-intensive ASICs and  
high volume designs where fine tuning of package size produces significant cost or real-estate savings.  
The embedded SDRAM represents part of Oki’s menu of major IP core functions for the 0.25 µm ASIC  
products. Other functions include ARM7TDMI, Gb Ethernet MAC, PLL, PCI and others in planning.  
FEATURES  
• 0.25µm drawn 3-, 4-, and 5-layer metal CMOS  
• Optimized 2.5-V core  
• Optimized 3-V I/O  
• Low 0.2µW/MHz/gate power dissipation  
• User-configurable single- and dual-port  
memories (SRAM)  
• Specialized IP cores and macrocells including  
32-bit ARM7TDMI CPU, phase-locked loop  
(PLL), and peripheral component interconnect  
(PCI) cells  
• Floorplanning for front-end simulation, back-  
end layout controls, and link to synthesis  
• Joint Test Action Group (JTAG) boundary scan  
and scan path Automatic Test Pattern  
Generation (ATPG)  
• Support for popular CAE systems including  
Cadence, IKOS, Mentor Graphics, Model  
Technology, Inc. (MTI), Synopsys, and  
Viewlogic  
• CSA architecture availability  
• 100 MHz embedded SDRAM cores up to 16 Mb  
per occurrence  
• 77-ps typical logic gate propagation delay (for a  
4x-drive inverter gate with a fanout of 2 and 0  
mm of wire, operating at 2.5 V)  
• Over 5.4M raw gates and 868 I/O pads using  
60µ staggered I/O  
• User-configurable I/O with V , V , TTL,  
3-state, and 1- to 24-mA options  
• Slew-rate-controlled outputs for low-radiated  
noise  
• H-clock tree cells which reduces the maximum  
skew for clock signals  
SS  
DD  
Oki Semiconductor  
1
MG63P/64P/65P ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––  
MG63P/64P/65P FAMILY LISTING  
No. of  
Pads  
No. of  
Rows  
No. of  
Columns  
No. of Raw  
Gates  
MG63P 3LM  
Usable Gates  
MG64P 4LM  
Usable Gates  
MG65P 5LM  
Usable Gates  
Series (MG6x)  
B02  
68  
84  
144  
280  
23,520  
69,120  
20,933  
57,370  
22,344  
65,664  
22,344  
65,664  
B04  
108  
148  
188  
228  
268  
308  
348  
388  
428  
468  
508  
548  
588  
628  
668  
708  
748  
788  
828  
868  
480  
B06  
204  
680  
138,720  
106,814  
167,270  
234,446  
309,658  
387,701  
474,163  
572,573  
648,960  
732,974  
848,755  
969,624  
1,094,861  
1,223,746  
1,355,558  
1,489,579  
1,625,088  
1,761,365  
1,897,690  
2,033,342  
131,784  
131,784  
B08  
264  
880  
232,320  
218,381  
220,704  
B10  
324  
1,080  
1,280  
1,480  
1,680  
1,880  
2,080  
2,280  
2,480  
2,680  
2,880  
3,080  
3,280  
3,480  
3,680  
3,880  
4,080  
4,280  
349,920  
311,429  
332,424  
B12  
384  
491,520  
412,877  
466,944  
B14  
444  
657,120  
519,125  
611,122  
B16  
504  
846,720  
635,040  
745,114  
B18  
564  
1,060,320  
1,297,920  
1,559,920  
1,845,120  
2,154,720  
2,488,320  
2,845,920  
3,227,520  
3,633,120  
4,062,720  
4,516,320  
4,993,920  
5,495,520  
763,430  
901,272  
B20  
624  
882,586  
1,025,357  
1,154,045  
1,310,035  
1,465,210  
1,642,291  
1,821,389  
2,001,062  
2,179,872  
2,356,378  
2,529,139  
2,696,717  
2,857,670  
B22  
684  
982,498  
B24  
744  
1,107,072  
1,249,738  
1,393,459  
1,536,797  
1,678,310  
1,816,560  
1,950,106  
2,077,507  
2,197,325  
2,308,118  
B26  
804  
B28  
864  
B30  
924  
B32  
984  
B34  
1,044  
1,104  
1,164  
1,224  
1,284  
B36  
B38  
B40  
B42  
5 layer metal: MG65PBxx  
4 layer metal: MG64PBxx  
3 layer metal: MG63PBxx  
ARRAY ARCHITECTURE  
The primary components of a 0.25µm MG63P/64P/65P circuit include:  
• I/O base cells  
• 60µm pad pitch  
• Configurable I/O pads for V , V , or I/O (optimized 3-V I/O)  
DD  
SS  
• V and V pads dedicated to wafer probing  
DD  
SS  
• Separate power bus for output buffers  
• Separate power bus for internal core logic and input buffers  
• Core base cells containing N-channel and P-channel pairs, arranged in column of gates  
• Isolated gate structure for reduced input capacitance and increased routing flexibility  
Each array has 24 dedicated corner pads for power and ground use during wafer probing, with four pads  
per corner. The arrays also have separate power rings for the internal core functions (V  
and V  
)
DDC  
SSC  
and output drive transistors (V  
and V ).  
DDO  
SSO  
2
Oki Semiconductor  
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– MG63P/64P/65P ■  
Separate power bus (V  
, V  
) for  
DDC  
SSC  
I/O base cells  
internal core logic (2nd metal/3rd metal)  
Configurable I/O pads  
for V , V , or I/O  
DD  
SS  
1, 2, 3, 4, or 5 layer  
metal  
interconnection in  
core area  
Core base cell  
with 4 transistors  
V
, V pads (4) in each  
Separate power bus (V  
, V  
) over I/O cell  
DD  
SS  
DDO  
SSO  
corner for wafer probing only  
for output buffers (2nd metal/3rd metal)  
Figure 7. MG65P Array Architecture  
MG63P/64P/65P CSA Layout Methodology  
The procedure to design, place, and route a CSA follows.  
1. Select suitable base array frame from the available predefined sizes. To select an array size:  
-
Identify megacell functions (e.g. embedded SDRAM) required and minimum array size to  
hold macrocell functions.  
-
Add together all the area occupied by the required random logic and macrocells and select  
the optimum array.  
2. Make a floor plan for the design’s megacells.  
-
-
Oki Design Center engineers verify the master slice and review simulation.  
Oki Design Center or customer engineers floorplan the array using Oki’s supported Cadence  
DP3 or Gambit GFP and customer performance specifications.  
-
Using Oki CAD software, Design Center engineers remove the SOG transistors and replace  
them with diffused memory macrocells to the customer’s specifications.  
Oki Semiconductor  
3
MG63P/64P/65P ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––  
Figure 8 shows an array base after placement of the optimized memory macrocells.  
High-density SRAM  
Mega macrocells  
Embedded SDRAM  
Figure 8. Optimized Memory Macrocell Floor Plan  
3. Place and route logic into the array transistors.  
-
Oki Design Center engineers use layout software and customer performance specifications  
to connect the random logic and optimized memory macrocells.  
Figure 9 marks the area in which placement and routing is performed with cross hatching.  
Figure 9. Random Logic Place and Route  
Figure 10 illustrates Oki’s Embedded DRAM ASIC. Oki provides two types of reconfigurable SDRAM  
cores generated from the compiler.  
4
Oki Semiconductor  
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– MG63P/64P/65P ■  
Reconfigurable SDRAM Core  
Data Input  
(128 bit)  
Data Output  
(128 bit)  
Type I: 512 Kb (1 bank) - 8 Mb (16 bank); 512 Kb increment  
Data Input  
(256 bit)  
Data Output  
(256 bit)  
Type I I: 1Mb (1 bank) - 16 Mb (16 bank); 1Mb increment  
Figure 10. SDRAM Compiler  
SDRAM Core Functional Specification  
Density  
Type I: 512kb (1BK) - 8Mb (16BK) by 512 kb  
Type II: 1 Mb (1BK) - 16 Mb (16 BK) by 1 Mb  
Bit Organization  
Maximum Clock Rate  
VDD  
x16/x32/x64/x128/x256 (x256 Type II Only)  
100 MHz  
2.5V  
CAS Latency  
Burst Length  
Write Latency  
DQM Latency  
Refresh  
2
1
0
0: Write, 2: Read  
512 Refresh cycles/8 ms  
Macro Pinout  
CLK, ACT, PRE, RD, WR, AX(8:0), AY(2:0), BAX(2:0), BAY(2:0), DQM (15:0), D(127:0),  
Q9127:0), REF, RST, test pins  
Oki Semiconductor  
5
MG63P/64P/65P ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––  
AC SPECIFICATIONS  
SDRAM Core Timings  
Parameter  
tCK  
Description  
Clock cycle time  
Value and Unit  
10 ns  
6 ns  
tAC  
Clock access time  
Clock high pulse width  
Clock low pulse width  
Data output hold time  
Input setup time  
tCH  
3 ns  
tCL  
3 ns  
tOH  
2 ns  
tSI  
3 ns  
tHI  
Input hold time  
3 ns  
tRCD  
tWR  
tRC  
RAS to CAS delay time  
Write recovery time  
Bank cycle time  
30 ns  
10 ns  
90 ns  
60 ns  
30 ns  
10 ns  
1 CLK  
tRAS  
tRP  
Active command period  
Precharge time  
tRRD  
tCCD  
Bank to bank delay time  
CAS to CAS delay time  
6
Oki Semiconductor  
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– MG63P/64P/65P ■  
ELECTRICAL CHARACTERISTICS  
[1]  
Absolute Maximum Ratings (V = 0 V, T = 25°C)  
SS  
J
Parameter  
Symbol  
VDD Core (2.5 V)  
Rated Value  
-0.3 to +3.6  
-0.3 to +4.6  
-0.3 to +4.6  
-0.3 to +4.6  
-10 to +10  
Unit  
Power supply voltage  
VDD I/O (3.3 V)  
V
Input voltage (Input Buffer)  
Output voltage (Output Buffer)  
Input current (Input Buffer)  
Output current per I/O (Output Buffer)  
Storage temperature  
VI  
VO  
II  
mA  
°C  
IO  
-24 to +24  
TSTG  
-65 to +150  
1. Permanent device damage may occur if ABSOLUTE MAXIMUM RATINGS are exceeded. Functional operation should be restricted to the conditions  
in the other specifications of this data sheet. Exposure to absolute maximum rating conditions for extended periods may affect device reliability.  
Recommended Operating Conditions (V = 0 V)  
SS  
Parameter  
Symbol  
VDD Core (2.5 V)  
Rated Value  
+2.25 to +2.75  
+3.0 to +3.6  
-40 to +85  
Unit  
V
Power supply voltage  
Junction temperature  
VDD I/O (3.3 V)  
Tj  
°C  
Oki Semiconductor  
7
MG63P/64P/65P ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––  
DC Characteristics (V Core = 2.25 to 2.75 V, V I/O = 3.0 to 3.6 V, V = 0 V, T = -40° to +85°C)  
DD  
DD  
SS  
j
Rated Value  
[1]  
Parameter  
High-level input voltage  
Symbol  
VIH  
Conditions  
Min.  
2.0  
-0.0  
Typ.  
Max.  
VDD  
0.8  
2.0  
Unit  
TTL input (normal)  
TTL input (normal)  
TTL input  
Low-level input voltage  
VIL  
Vt+  
Vt-  
TTL- level Schmitt  
Trigger input buffer  
Threshold voltage  
1.5  
0.7  
0.4  
VDD-0.2  
2.4  
1.0  
V
Vt  
VOH  
Vt+ - Vt-  
0.5  
High-level output voltage (Output buffer)  
Low-level output voltage (Output buffer)  
High-level input current (Input buffer)  
Low-level input current (Normal input buffer)  
IOH = -100 µA  
IOH = -1, -2, -4, -6, -8, -12, -24 mA  
IOL = 100 µA  
VOL  
0.2  
0.4  
10  
µA  
I
OL = 1, 2, 4, 6, 8, 12, 24 mA  
IIH  
VIH = VDD  
VIH = VDD (50-kpull-down)  
VIL = VSS  
10  
66  
200  
10  
IIL  
-10  
-200  
-3.3  
-10  
10  
V
IL = VSS (50-kpull-up)  
-66  
-10  
-0.3  
10  
VIL = VSS (3-kpull-up)  
-1.1  
mA  
µA  
3-state output leakage current  
(Normal input buffer)  
IOZH  
VOH = VDD  
V
OH = VDD (50-kpull-down)  
66  
200  
10  
IOZL  
VOL = VSS  
-10  
-200  
-3.3  
µA  
VOL = VSS (50-kpull-up)  
VOL = VSS (3-kpull-up)  
Output open, VIH = VDD, VIL = VSS  
-66  
-1.1  
-10  
-0.3  
mA  
µA  
Stand-by current [2]  
IDDQ  
Design Dependent  
1. Typical condition is VDD I/O = 3.3 V, VDD Core = 2.5 V, and Tj = 25°C on a typical process.  
2. RAM/ROM should be in powerdown mode.  
8
Oki Semiconductor  
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– MG63P/64P/65P ■  
AC Characteristics (Core V = 2.5 V, V = 0 V, T = 25°C)  
DD  
SS  
j
[1] [2]  
[3]  
Parameter  
Driving Type  
Conditions  
Rated Value  
0.091  
0.079  
0.065  
0.13  
Unit  
Internal gate  
propagation delay  
Inverter  
1X  
2X  
4X  
1X  
2X  
4X  
1X  
4X  
1X  
2X  
4X  
1X  
2X  
4X  
1X  
4X  
2-input NAND  
F/O = 2, L = 0 mm  
VDD = 2.5 V  
0.11  
0.09  
2-input NOR  
Inverter  
0.16  
0.13  
ns  
0.24  
0.18  
0.12  
F/O = 2, L = standard  
wire length  
2-input NAND  
2-input NOR  
0.30  
0.20  
V
DD = 2.5 V  
0.14  
0.41  
0.24  
Toggle frequency  
F/O = 1, L = 0 mm  
1100  
MHz  
1. Input transition time in 0.15 ns / 2.5 V.  
2. Typical condition in VDD = 2.5 V and Tj = 25oC for a typical process.  
3. Rated value is calculated as an average of the L-H and H-L delay times of each macro type on a typical process.  
AC Characteristics (I/O V = 3.3 V, V = 0 V, T = 25°C)  
DD  
SS  
j
Parameter  
Input buffer propagation delay  
Conditions  
Rated Value  
0.29  
Unit  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
F/O = 2, L = standard wire length  
CL = 20 pF  
Output buffer  
propagation delay  
Push-pull  
Normal Output  
Buffer  
4 mA  
8 mA  
1.73  
CL = 50 pF  
1.96  
12mA  
12 mA  
CL = 100 pF  
2.52  
Output buffer  
transition time  
Push-pull  
Normal output  
Buffer  
CL = 100 pF  
3.79 (r)  
3.07 (f)  
[1]  
1. Output rising and falling times are both specified over a 10 to 90% range.  
Oki Semiconductor  
9
MG63P/64P/65P ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––  
MACRO LIBRARY  
Oki Semiconductor supports a wide range of macrocells and macrofunctions, ranging from simple hard  
macrocells for basic Boolean operations to large, user-parameterizable macrofunctions. The following  
figure illustrates the main classes of macrocells and macrofunctions available.  
Examples  
Flip-Flops  
Combinational Logic  
NANDs EXORs  
Basic Macrocells  
NORs  
Latches  
Basic Macrocells  
with Scan Test  
Flip-Flops  
Clock Tree Driver  
Macrocells  
Macrocells  
Open Drain Outputs  
Slew Rate Control Outputs  
PCI Outputs  
3-State Outputs  
Push-Pull Outputs  
3-V Output  
Macrocells  
Counters  
Shift Registers  
MSI Macrocells  
Mega/Special  
ARM7TDMI  
PLL  
[1]  
Macrocells  
Macro Library  
3-V  
Inputs  
Inputs with Pull-Downs  
Input Macrocells  
Inputs with Pull-Ups  
3-V  
Bi-Directional  
Macrocells  
I/O  
PCI I/O  
I/O with Pull-Downs  
I/O with Pull-Ups  
Oscillator  
Macrocells  
Gated Oscillators  
SOG SRAMs:  
Single-Port SRAMs  
Dual-Port SRAMs  
Optimized Diffused SRAMs:  
Single-Port SRAMs  
Dual-Port SRAMs  
Memory  
Macrocells  
Embedded SDRAM  
MSI  
Macrofunctions  
Macrofunctions  
4-Bit Register/Latches  
[1] Under development  
Figure 11. Oki Macrocell and Macrofunction Library  
10  
Oki Semiconductor  
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– MG63P/64P/65P ■  
Macrocells for Driving Clock Trees  
Oki offers clock-tree drivers that minimize clock skew. The advanced layout software uses dynamic  
driver placement and sub-trunk allocation to optimize the clock-tree implementation for a particular cir-  
cuit. Features of the clock-tree driver-macrocells include:  
• True RC back annotation of the clock network  
• Automatic fan-out balancing  
• Dynamic sub-trunk allocation  
• Single clock tree driver logic symbol  
• Automatic branch length minimization  
• Dynamic driver placement  
• Up to four clock trunks  
Clock  
Figure 12. Clock Tree Structure  
Oki Semiconductor  
11  
MG63P/64P/65P ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––  
OKI ADVANCED DESIGN CENTER CAD TOOLS  
Oki’s advanced design center CAD tools include support for the following:  
• Floorplanning for front-end simulation and back-end layout control  
Clock tree structures improve first-time silicon success by eliminating clock skew problems  
• JTAG Boundary scan support  
• Power calculation which predicts circuit power under simulation conditions to accurately model  
package requirements  
Vendor  
Cadence  
Platform  
Operating System [1]  
Vendor Software/Revision [1]  
Description  
HP9000, 7xx  
IBM RS6000  
Sun® [2]  
HP-UX  
AIX  
SunOS, Solaris  
Composer™  
Verilog™  
Design capture  
Simulation  
Simulation  
Timing analysis  
Fault grading  
Design capture  
VHDL simulation  
NC-Verilog™  
Veritime™  
Verifault™  
Concept™ [3]  
Leapfrog™  
IKOS  
HP9000, 7xx,  
Sun [2]  
HP-UX, SunOS, Solaris  
NSIM  
Gemini/Voyager  
Simulation  
Mentor Graphics™  
HP9000, 7xx  
Sun [2]  
HP-UX  
SunOS, Solaris  
IDEA™  
Design capture  
VHDL simulation  
Logic simulation  
Test synthesis  
ATPG  
QuickVHDL  
QuickSim II™  
DFT Advisor  
Fastscan  
Model Technology  
Inc. (MTI)  
HP9000, 7xx  
Sun [2]  
PC  
HP-UX  
SunOS, Solaris  
Win/NT™  
V-System  
VHDL simulation  
Synopsys  
(Interface to Mentor  
Graphics,  
IBM RS6000  
HP9000, 7xx  
Sun [2]  
AIX  
HP-UX  
SunOS, Solaris  
Design Compiler™  
HDL/VHDL Compiler™  
Test Compiler™  
VSS™  
Compilation  
Design synthesis  
Test synthesis  
VHDL simulation  
VIEWLogic)  
VIEWLogic  
PC  
Windows™, Win/NT™ [4]  
SunOS, Solaris  
Powerview™  
Fusion HDL  
Simulation  
VHDL/Verilog™ Simulation  
Sun [2]  
1. Contact Oki Application Engineering for current software versions.  
2. Sun or Sun-compatible.  
3. Sun and HP platform only.  
4. In development.  
12  
Oki Semiconductor  
 
 
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– MG63P/64P/65P ■  
Design Process  
The following figure illustrates the overall IC design process, also indicating the three main interface  
points between external design houses and Oki ASIC Application Engineering.  
[5]  
Level 1  
VHDL/HDL Description  
Synthesis  
Test Vectors  
CAE Front-End  
[2]  
LSF  
Floorplanning  
Gate-Level Simulation  
Level 2  
Netlist Conversion  
(EDIF 200)  
Test Vector Conversion  
[4]  
(Oki TPL  
)
Scan Insertion (Optional)  
[3]  
TDC  
[1]  
CDC  
Pre-Layout Simulation  
(Cadence Verilog)  
Floorplanning  
Layout  
[5]  
Level 2.5  
Oki Interface  
[6]  
Fault Simulation  
Automatic Test  
Pattern Generation  
(Synopsys Test Compiler)  
Verification  
(Cadence DRACULA)  
Post-Layout Simulation  
(Cadence Verilog)  
[5]  
Level 3  
Manufacturing  
Prototype  
Test Program  
Conversion  
[1] Oki’s Circuit Data Check program (CDC) verifies logic design rules  
[2] Oki’s Link to Synthesis Floorplanning toolset (LSF) transfers post-floorplanning timing for resynthesis  
[3] Oki’s Test Data Check program (TDC) verifies test vector rules  
[4] Oki’s Test Pattern Language (TPL)  
[5] Alternate Customer-Oki design interfaces available in addition to standard level 2  
[6] Standard design process includes fault simulation  
Figure 13. Oki’s Design Process  
Oki Semiconductor  
13  
MG63P/64P/65P ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––  
Automatic Test Pattern Generation  
Oki’s 0.25µm ASIC technologies support ATPG using full scan-path design techniques, including the fol-  
lowing:  
• Increases fault coverage 95%  
• Uses Synopsys Test Compiler  
• Automatically inserts scan structures  
• Connects scan chains  
• Traces and reports scan chains  
• Checks for rule violations  
• Generates complete fault reports  
• Allows multiple scan chains  
• Supports vector compaction  
ATPG methodology is described in detail in Oki’s 0.25µm Scan Path Application Note.  
Combinational Logic  
A
B
FD1AS  
FD1AS  
D
Q
D
Q
Scan Data Out  
C
C
Scan Data In  
Scan Select  
SD  
SS  
SD  
SS  
QN  
QN  
Figure 14. Full Scan Path Configuration  
Floorplanning Design Flow  
Oki offers two floorplanning tools for high-density ASIC design: Cadence DP3, and Gambit GFP. The  
two main purposes for Oki’s floorplanning tools are to:  
• Ensure conformance of critical circuit performance specifications  
• Shorten overall design TAT  
In a traditional design approach with synthesis tools, timing violations after prelayout simulation are  
fixed by manual editing of the netlist. This process is difficult and time consuming. Also, there is no  
physical cluster information provided in the synthesis tool, and so it is difficult to synthesize logic using  
predicted interconnection delay due to wire length. Synthesis tools may therefore create over-optimized  
results.  
To minimize these problems, Synopsys proposed a methodology called, “Links to Layout (LTL)”. Based  
on this methodology, Oki developed an interface between Oki’s Floorplanner and the Synopsys environ-  
ment, called Link Synopsys to Floorplanner (LSF). As not every Synopsys user has access to the Synopsys  
Floorplan Management tool, Oki had developed the LSF system to support both users who can access  
Synopsys Floorplan Management and users who do not have access to Synopsys Floorplan Manage-  
ment.  
14  
Oki Semiconductor  
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– MG63P/64P/65P ■  
More information on OKI’s floorplanning capabilities is available in Oki’s Application Note, Using Oki’s  
Floorplanner: Standalone Operation and Links to Synopsys.  
Incremental  
Optimization with  
Physical Information  
Initial Synthesis  
HDL Entry  
No  
Constraints Met?  
PDEF  
Yes  
(Synopsis)  
Constraints  
Synthesis  
Invoke Import on  
Floorplanner  
Gate Level  
Netlist  
No  
Constraints Met?  
Yes  
Gate Level  
Netlist  
(EDIF)  
(EDIF)  
Incremental  
Floorplan  
Initial Floorplan  
DSPF/Oki RC/  
PDEF (Synopsys)  
Wire Load Model (Synopsys)  
Net Capacitance (Synopsys  
Script (Synopsys)  
Invoke Export on  
Floorplanner  
Invoke Delay  
Delay  
(SDF)  
Load  
Back-Annotation Files  
No  
Constraints Met?  
Yes  
= In Synopsys DC/DA  
= In Floorplanner  
Timing Optimization  
To Simulation and P&R  
Figure 15. LSF System Design Flow  
IEEE JTAG Boundary Scan Support  
Boundary scan offers efficient board-level and chip-level testing capabilities. Benefits resulting from  
incorporating boundary-scan logic into a design include:  
• Improved chip-level and board-level testing and failure diagnostic capabilities  
• Support for testing of components with limited probe access  
• Easy-to-maintain testability and system self-test capability with on-board software  
• Capability to fully isolate and test components on the scan path  
• Built-in test logic that can be activated and monitored  
• An optional Boundary Scan Identification (ID) Register  
Oki Semiconductor  
15  
MG63P/64P/65P ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––  
Oki’s boundary scan methodology meets the JTAG Boundary Scan standard, IEEE 1149.1-1990. Oki sup-  
ports boundary scan on both Sea of Gates (SOG) and Customer Structured Array (CSA) ASIC technolo-  
gies. Either the customer or Oki can perform boundary-scan insertion. More information is available in  
Oki’s JTAG Boundary Scan Application Note. (Contact the Oki Application Engineering Department for  
interface options.)  
PACKAGE OPTIONS  
TQFP, LQFP and QFP Package Menu (Preliminary)  
TQFP  
LQFP  
176  
QFP  
[1]  
Base Array  
Product Name  
MG6xPB02  
MG6xPB04  
MG6xPB06  
MG6xPB08  
MG6xPB10  
MG6xPB12  
MG6xPB14  
MG6xPB16  
MG6xPB18  
MG6xPB20  
MG6xPB22  
MG6xPB24  
MG6xPB26  
MG6xPB28  
MG6xPB30  
MG6xPB32  
MG6xPB34  
MG6xPB36  
MG6xPB38  
MG6xPB40  
MG6xPB42  
I/O Pads  
68  
144  
208  
208  
240  
100  
108  
148  
188  
228  
268  
308  
348  
388  
428  
468  
508  
548  
588  
628  
668  
708  
748  
788  
828  
868  
\
Body Size (mm)  
Lead Pitch (mm)  
20 x 20  
0.5  
24 x 24  
0.5  
28 x 28  
0.5  
28 x 28  
0.5  
32 x 32  
0.5  
14 x 14  
0.5  
1. I/O Pads can be used for input, output, bi-directional, power, or ground.  
= Available now  
16  
Oki Semiconductor  
––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––– MG63P/64P/65P ■  
BGA Package Menu  
BGA  
[1]  
Base Array  
Product Name  
MG6xPB02  
MG6xPB04  
MG6xPB06  
MG6xPB08  
MG6xPB10  
MG6xPB12  
MG6xPB14  
MG6xPB16  
MG6xPB18  
MG6xPB20  
MG6xPB22  
MG6xPB24  
MG6xPB26  
MG6xPB28  
MG6xPB30  
MG6xPB32  
MG6xPB34  
MG6xPB36  
MG6xPB38  
MG6xPB40  
MG6xPB42  
I/O Pads  
68  
256  
352  
420  
560  
108  
148  
188  
228  
268  
308  
348  
388  
428  
468  
508  
548  
588  
628  
668  
708  
748  
788  
828  
868  
Body Size (mm)  
Lead Pitch (mm)  
Ball Count  
27x27  
1.27  
256  
231  
12  
35x35  
1.27  
352  
304  
16  
35x35  
1.27  
420  
352  
32  
35x35  
1.00  
560  
400  
80  
Signal I/O  
Power Ball  
GND Ball  
13  
32  
36  
80  
1. I/O Pads can be used for input, output, bi-directional, power, or ground.  
= Available now  
Oki Semiconductor  
17  
MG63P/64P/65P ––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––––  
Notes:  
18  
Oki Semiconductor  
The information contained herein can change without notice owing to product and/or technical improvements.  
Please make sure before using the product that the information you are referring to is up-to-date.  
The outline of action and examples of application circuits described herein have been chosen as an explanation of the standard action  
and performance of the product. When you actually plan to use the product, please ensure that the outside conditions are reflected in  
the actual circuit and assembly designs.  
Oki assumes no responsibility or liability whatsoever for any failure or unusual or unexpected operation resulting from misuse, neglect,  
improper installation, repair, alteration or accident, improper handling, or unusual physical or electrical stress including, but not limited  
to, exposure to parameters outside the specified maximum ratings or operation outside the specified operating range.  
Neither indemnity against nor license of a third party's industrial and intellectual property right,etc.is granted by us in connection with  
the use of product and/or the information and drawings contained herein. No responsibility is assumed by us for any infringement of a  
third party's right which may result from the use thereof.  
When designing your product, please use our product below the specified maximum ratings and within the specified operating ranges,  
including but not limited to operating voltage, power dissipation, and operating temperature.  
The products listed in this document are intended for use in general electronics equipment for commercial applications (e.g.,office  
automation, communication equipment, measurement equipment, consumer electronics, etc.).These products are not authorized for  
use in any system or application that requires special or enhanced quality and reliability characteristics nor in any system or application  
where the failure of such system or application may result in the loss or damage of property or death or injury to humans. Such  
applications include, but are not limited to: traffic control, automotive, safety, aerospace, nuclear power control, and medical, including  
life support and maintenance.  
Certain parts in this document may need governmental approval before they can be exported to certain countries. The purchaser  
assumes the responsibility of determining the legality of export of these parts and will take appropriate and necessary steps, at their  
own expense, for export to another country.  
Copyright 1998 Oki Semiconductor  
Oki Semiconductor reserves the right to make changes in specifications at anytime and without notice. This information furnished by  
Oki Semiconductor in this publication is believed to be accurate and reliable. However, no responsibility is assumed by Oki  
Semiconductor for its use; nor for any infringements of patents or other rights of third parties resulting from its use. No license is  
granted under any patents or patent rights of Oki.  
Oki Semiconductor  
OKI REGIONAL SALES OFFICES  
Northwest Area  
Northeast Area  
785 N. Mary Avenue  
Sunnyvale, CA 94086  
Tel: 408/720-8940  
Fax: 408/720-8965  
138 River Road  
Shattuck Office Center  
Andover, MA 01810  
Tel: 978/688-8687  
Fax: 978/688-8896  
Southwest Area  
Southeast Area  
2302 Martin Street  
Suite 250  
Irvine, CA 92715  
Tel: 714/752-1843  
Fax: 714/752-2423  
1590 Adamson Parkway  
Suite 220  
Morrow, GA 30260  
Tel: 770/960-9660  
Fax: 770/960-9682  
Oki Web Site:  
http://www.okisemi.com  
Oki FAX Service:  
Call toll free 1-800-OKI-6994  
Oki Stock No: 3023003821-001  
Corporate Headquarters  
785 N. Mary Avenue  
Sunnyvale, CA 94086-2909  
Tel: 408/720-1900  
Fax: 408/720-1918