欢迎访问ic37.com |
会员登录 免费注册
发布采购

GS9021ACFU 参数 Datasheet PDF下载

GS9021ACFU图片预览
型号: GS9021ACFU
PDF下载: 下载PDF文件 查看货源
内容描述: GENLINX -TM II GS9021A EDH协处理器 [GENLINX -TM II GS9021A EDH Coprocessor]
分类和应用: 消费电路商用集成电路
文件页数/大小: 26 页 / 376 K
品牌: GENNUM [ GENNUM CORPORATION ]
 浏览型号GS9021ACFU的Datasheet PDF文件第6页浏览型号GS9021ACFU的Datasheet PDF文件第7页浏览型号GS9021ACFU的Datasheet PDF文件第8页浏览型号GS9021ACFU的Datasheet PDF文件第9页浏览型号GS9021ACFU的Datasheet PDF文件第11页浏览型号GS9021ACFU的Datasheet PDF文件第12页浏览型号GS9021ACFU的Datasheet PDF文件第13页浏览型号GS9021ACFU的Datasheet PDF文件第14页  
3.3 CRC Calculation And Updating  
5. Depending on whether one or both of FFV or APV is low,  
the Unknown Error Status (UES) flag corresponding to  
either FF or AP or both, is set HIGH in the output data.  
(No CRC check could be performed, so the data may or  
may not contain errors).  
PIN  
LOGIC OPR  
HOST BIT  
INCOMING FF CRC  
OUTGOING FF CRC  
INCOMING AP CRC  
OUTGOING AP CRC  
The incoming V bits for the Full Field and Active Picture  
regions are available in the HOSTIF read table as FFV and  
APV, respectively. Outgoing full field (FFV) and active  
picture (APV) validity bits are set HIGH unless explicitly  
over-written through the HOSTIF write table or the flag port.  
Since the device has the potential of modifying the full-field  
and active picture data with features like ITU-R-601 clipping  
and TRS insertion, the full field and active picture CRC  
values must be calculated for both the incoming and  
outgoing data streams. The calculated CRC values based  
on the incoming data stream are used for comparison with  
the embedded CRC values. However, the calculated CRC  
values based on the outgoing data stream are the ones  
inserted into the data stream. As a result, the CRC values in  
the outgoing data stream correctly reflect the contents of  
the outgoing data stream.  
3.5 Ancillary Checksum Verification  
PIN  
LOGIC OPR  
HOST BIT  
ANC_CHKSM  
EDH_CHKSM  
For each received ANC packet in the incoming data, the  
device compares the calculated checksum value to the  
embedded checksum for that ANC packet.  
If the  
The INCOMING FF and AP CRC values for the Full Field  
(FF) and Active Picture (AP) regions can be read from the  
HOSTIF read table. Similarly, the OUTGOING (calculated)  
FF and AP CRC values for the Full Field and Active Picture  
regions can be read from the HOSTIF read table.  
checksum values do not match for any ANC packets within  
a field, an error is reported via the ANC EDH flag in the EDH  
packet. In addition, if the ANC_CHKSM input pin or  
HOSTIF write table bit is asserted HIGH, the ancillary  
checksum correction block is enabled and the checksum in  
the ANC packet is replaced with the calculated one. This  
update is required to prevent the ANC data error from being  
flagged at every downstream EDH chip.  
3.4 Validity Bit  
PIN  
LOGIC OPR  
HOST BIT  
FFV  
When implementing applications which use the EDH core  
(ie. BYPASS_EDH set LOW), the ANC_CHKSM function will  
indicate a downstream FF/AP EDH error when an illegal/  
non-allowed (3FCH-3FFH) ANC_CHKSM input value is  
detected. As such, these values should not be present in  
the incoming data and the corresponding FF/AP EDH errors  
should not occur. However, if the user wishes to disable the  
ANC_CHKSM function, it can be deactivated by setting  
both the ANC_CHSKM pin and the ANC_CHKSM host  
interface bit LOW.  
APV  
The VALIDITY (V) bits (as per SMPTE 165) present in the  
incoming EDH packet are used to indicate whether the CRC  
values are valid or invalid. If the V bit is HIGH, the CRC  
value is considered valid. In this case, the incoming CRC  
value is compared with the calculated CRC value to identify  
errors. If the V bit is LOW, the incoming CRC is invalid and a  
CRC comparison is not performed. If the device receives an  
EDH packet with the V bit set LOW it behaves as follows:  
If the chip is receiving ANC EDH flag information through  
the flag port or the HOSTIF, then the ANC EDH flag  
generated by the ancillary checksum verification block will  
be overwritten. However, the additional FF/AP EDH flag will  
still appear at the next downstream chip if an illegal  
checksum of 3FCH-3FFH was detected and the  
ANC_CHKSM function was enabled.  
1. EDH = 0 (Not asserted for an invalid CRC)  
2. EDA = EDAin "OR" EDHin (EDA calculated as usual)  
3. A new calculated CRC value replaces the invalid one in  
the output EDH packet  
If a checksum error is detected in the EDH packet itself, an  
additional separate error flag, EDH_CHKSM is set HIGH in  
the HOSTIF read table.  
4. The V bit will be set HIGH in the output EDH packet  
10 of 26  
19983 - 1